索阅 100例 首 页| 资 讯| 下 载| 论 坛| 博 客| Webinar| 高 校| 专 刊| 会展| EETV| 百科| 问答| 电路图| 工程师手册| Datasheet

EEPW首页 > 百科 > CPLD PWM

CPLD PWM


贡献者:yhpp    浏览:6072次    创建时间:2011-01-20

所谓CPLD PWM,就是利用CPLD实现PWM波形的产生。当前,PWM波形的产生主要是利用专用芯片或DSP来实现,具有一定的局限性。利用CPLD实现PWM,可以从外部输入来调整PWM信号的占空比和频率,且具有ISP功能;该方式比DSP简单,比专用芯片灵活,是产生PWM信号的一种不可或缺的方法。


如果您认为本词条还有待完善,需要补充新内容或修改错误内容,请编辑词条     查看历史版本

开放分类
MCU    DSP    电源    

参考资料

贡献者
yhpp    


本词条在以下词条中被提及:

关于本词条的评论共:(0条)
匿名不能发帖!请先 [ 登陆 ]