索阅 100例 首 页| 资 讯| 下 载| 论 坛| 博 客| Webinar| 高 校| 专 刊| 会展| EETV| 百科| 问答| 电路图| 工程师手册| Datasheet

EEPW首页 > 百科 > MAX7128S

MAX7128S


贡献者:angelazhang    浏览:686次    创建时间:2015-04-17

  MAX7128S
  MAX7128S是一种复杂可编程逻辑器件,IC管脚参阅原理图,是84pinPLCC封装,另外还有其它类型的管脚和封装,选择性强,该IC具有以下主要性能:
  1、以第二代多阵列矩阵(MAX)结构为基础,是一种高性能CMOS EEPROM器件;
  2、通过JTAG(Joint Text Action Group联合测试组)接口可实现在线编程(ISP),本实验板提供JTAG接口;
  3、逻辑密度为2500个可用编程门电路,128个宏单元;
  4、68条可编程I/O口,TTL逻辑电平为5V或3V;
  5、引脚到引脚的逻辑延时为5.0ns,计数器工作频率可达到151.5MHz;(开发板上提供的样片是EPM7128SLC-15PC84,如需其它速度等级的芯片,购买时请说明。)
  6、有集电极开路选择,可编程宏单元触发器,具有专有的清除(clear)、时钟(clock)、输出使能(OE)控制;
  7、与不同电源电压的系统接口,VCCIO引脚用于输出缓冲器接到5V电源时,输出电平与5V电源兼容,VCCIO引脚用于输出缓冲器接到3.3V电源时,输出电平与3.3V电源兼容,VCCINT用于内部电路和输入缓冲器;
  8、包括一个可编程的程序加密位,全面保护专利设计,防止程序被复制和读出。
  Max7128S Altera CPLD开发板是针对CPLD初、中级学习者设计,帮助
  用户降低学习成本和加快用户快速进入可编程逻辑器件设计开发领域,提供一个帮助用户快速开始可编程逻辑器件学习之旅的硬件平台。
  本CPLD实验平台提供大量的实用的实验例程和丰富硬件资源,并介绍关于如何在本实验平台上完成各个实验过程,实现对板上资源的利用,从而使用户获得对CPLD器件的开发应用流程得到了解。实验例程分为三个部分:基本实验,接口实验和综合实验,由浅入深,一步步引导用户。
  二、 硬件配置情况及实验配套介绍
  硬件配置
  a) EPM7128S MAX7000系列Altera CPLD芯片
  b)16个独立式按键
  c) 8段数码管
  d) 蜂鸣器
  e) LED灯
  f)双色LED灯
  三、实验例程简介及程序流程编写说明
  1.基础实验
  1) 加法实验
  2) 减法实验
  3) 译码器
  4) 基本门电路
  5) 四位比较器
  6) 多路选择器
  7) 优先编码器
  8) 二进制到BCD码转换
  这几个实验都比较简单,目的是帮助用户熟悉CPLD的基本开发流程
  和一些常用的、基础的数字电路。用户简单的看一下程序里面的说明就可以理解了这些实验了。
  2.接口实验
  1) 跑马灯实验:
  跑马灯实验在CPLD中设计了计数器,利用计数器轮流向LED灯发出高电平,点亮LED灯,实现跑马灯的效果,用户可以自己设计更多图案的跑马灯。
  2) 8段数码管实验
  8段数码管测试实验:以动态扫描方式在8位数码管显示数字,帮助用户了解数码管动态显示的方法。
  3) 蜂鸣器实验
  向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调。
  4)键盘实验
  按下相应的键使数码管显示值加一
  5) 模拟交通灯实验
  模拟路口的红黄绿交通灯的变化过程,用LED灯表示交通灯。
  3 综合实验
  1) 数字时钟实验
  利用数码管和CPLD设计的计数器实现一个数字时钟,可以显示小时,分钟,秒,十分之一秒,百分之一秒。
  2) 四位数字频率计实验
  利用数码管和CPLD设计的数字频率计,最大可测频率为9999Hz。
  3) 八位并行加法器实验
  通过设计实验,在实验板上验证八位并行加法器的正确性。
  4) 八位可逆计数器实验
  通过设计实验,在实验板上验证八位可逆计数器的正确性。
  以上实验例程的底层文件都采用VHDL语言描述,顶层文件采用原理图的方式设计,以帮助初学者尽快的深入到VHDL的学习之中,同时掌握硬件电路的设计。所有实验例程都基于MAX PLUS+ II工程,实验的程序都在源代码中有详细的注释,帮助用户理解。各种器件的手册资料都包含在光盘中。


如果您认为本词条还有待完善,需要补充新内容或修改错误内容,请编辑词条     查看历史版本

开放分类

参考资料
百度百科

贡献者
angelazhang    


本词条在以下词条中被提及:

关于本词条的评论共:(0条)
匿名不能发帖!请先 [ 登陆 ]